Biography:Igor L. Markov

From HandWiki
Short description: American computer scientist and engineer
Igor Leonidovich Markov
Dr. Igor L Markov.webp
Born (1973-03-31) 31 March 1973 (age 51)
Nationality Ukraine
Alma mater
Scientific career
FieldsComputer Science, Electrical Engineering, Optimization, Electronic Design Automation, Artificial Intelligence, Quantum Computing
InstitutionsUniversity of Michigan, Stanford University, Meta Platforms, Google
ThesisTop-Down Timing-Driven Placement with Direct Minimization of Maximal Signal Delay (2001)
Doctoral advisorAndrew B. Kahng
Doctoral studentsSmita Krishnaswamy
Other notable studentsVivek Shende

Igor Leonidovich Markov (Ukrainian: Ігор Леонідович Марков; born in 1973 in Kyiv, Ukraine ) is an American professor,[1] computer scientist and engineer. Markov is known for mathematical and algorithmic results in quantum computation, work on limits of computation, research on algorithms for optimizing integrated circuits and on electronic design automation, as well as artificial intelligence. Additionally, Markov is a California non-profit executive[2] responsible for aid to Ukraine worth tens of millions dollars.[3][4][5]

Igor L. Markov has no known relation to the mathematician Andrey Markov.

Career

Markov obtained an M.A. degree in mathematics and a Doctor of Philosophy degree in Computer Science from UCLA in 2001.[6][7] From the early 2000s through 2018 he was a professor at University of Michigan,[1] where he supervised doctoral dissertations and degrees of 12 students in Electrical engineering and Computer science.[7] In 2013-2014 he was a visiting professor at Stanford University.[8] Markov worked at Google on Search and Information Retrieval,[9] and at Meta on Machine Learning platforms.[10][11]

Markov is a member of the Board of Directors of Nova Ukraine, a California 501(c)(3) charity organization that provides humanitarian aid in Ukraine.[12] At Nova Ukraine, Markov leads government and media relations, curated publicity efforts, established and curated large medical and evacuation projects, and contributed to fundraising.

Awards and distinctions

ACM Special Interest Group on Design Automation honored Markov with an Outstanding New Faculty Award in 2004.[13]

Markov was the 2009 recipient of IEEE CEDA Ernest S. Kuh Early Career Award "for outstanding contributions to algorithms, methodologies and software for the physical design of integrated circuits."[14][15] Markov became ACM Distinguished Scientist in 2011.[16][17] In 2013 he was named an IEEE fellow[18] "for contributions to optimization methods in electronic design automation".[19]

Award-winning publications

Markov's peer-reviewed scholarly work was recognized with five best-paper awards, including four at major conferences and a journal in the field of electronic design automation, and one in theoretical computer science:

  • The 2003 IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems Donald O. Pederson Best Paper Award, shared with Vivek Shende and John P. Hayes for work[20] on reversible logic circuits.[21]
  • The 2004 best-paper award at the Design Automation and Test in Europe (DATE) conference, shared with Smita Krishnaswamy, George F. Viamontes, and John P. Hayes for work[22] on circuit reliability evaluation with probabilistic transfer matrices.[23] Full journal version of this work was published four years later.[24]
  • The 2008 best-paper award at the International Symposium on Physical Design (ISPD), shared with Stephen Plaza and Valeria Bertacco, for work[25] on physical synthesis.[26]
  • The 2010 best-paper award at the International Conference on Computer-Aided Design (ICCAD) for work[27] on circuit placement.[28] The full journal version of this work was published two years later.[29]
  • The best-paper award at the 2012 Alan Turing Centenary Conference in Manchester, UK, shared with Karem A. Sakallah for work[30] on graph automorphism and canonical labeling.[31]

Books and other publications

Markov co-authored over 200 peer-reviewed publications in journals and archival conference proceedings, and Google Scholar reported over 19,000 citations of his publications as of October 2023.

In a 2014 Nature article,[32] Markov surveyed known limits to computation, pointing out that many of them are fairly lose and do not restrict near-term technologies. When practical technologies encounter serious limits, understanding these limits can lead to workarounds. More often, what is practically achievable depends on technology-specific engineering limitations.

Markov co-edited the two-volume Electronic Design Automation handbook published in second edition by Taylor & Francis in 2016.[33] He also co-authored five scholarly books published by Springer, among them are two textbooks:

Markov's other books cover uncertainty in logic circuits,[37] dealing with functional design errors in digital circuits,[38] and physical synthesis of integrated circuits.[39]

Key technical contributions

Quantum computing

Markov’s contributions include results on quantum circuit synthesis (creating circuits from specifications) and simulation of quantum circuits on conventional computers (obtaining the output of a quantum computer without a quantum computer).

  • An algorithm for the synthesis of linear reversible circuits with at most [math]\displaystyle{ O(n^2/\log n) }[/math] CNOT gates (asymptotically optimal)[40] that was extended by Scott Aaronson and Daniel Gottesman to perform optimal synthesis of Clifford circuits,[41] with applications to quantum error correction.
  • Optimal synthesis of a two-qubit unitary that uses the minimal number of CNOT gates[42][43]
  • Asymptotically optimal synthesis of an [math]\displaystyle{ n }[/math]-qubit quantum circuit that (a) implements a given unitary matrix using no more than[math]\displaystyle{ (23/48)\times 4^n - (3/2) \times 2^n + 4/3 }[/math] CNOT gates (less than a factor of two away from the theoretical lower bound) and (b) induces an initial quantum state using no more than [math]\displaystyle{ 2^{n+1} - 2n }[/math] CNOT gates (less than a factor of four away from the theoretical lower bound).[42] IBM Qiskit uses Markov's circuit synthesis algorithm.[44]
  • Efficient simulation of quantum circuits with low tree-width using tensor-network contraction.[45] Follow-up works extended this technique with approximations, which allowed them to simulate quantum Fourier transform in poly time.[46][47] Markov's work was used in an essential way in the first proof (by Dorit Aharonov et al.) that quantum Fourier transform can be classically simulated.[46]

Physical design of integrated circuits

Markov's Capo placer[48] provided a baseline for comparisons used in the placement literature. The placer was commercialized and used to design industry chips.[49] Markov's contributions include algorithms, methodologies and software for

  • Circuit partitioning:[50][51] high-performance heuristic optimizations for hypergraph partitioning
  • Placement:[29][48] algorithms for finding [math]\displaystyle{ (x,y) }[/math] locations of circuit components that optimize interconnects between those components
  • Floorplanning:[52] algorithms and methodologies for chip planning in terms of locations of large components
  • Routing:[53] algorithms based on Lagrangian relaxation to construct global wire routs on a multilayer grid structure
  • Physical synthesis:[25] algorithms and methodologies for altering logic circuits to admit layouts with shorter interconnects or lower latency

Activity on social media

Markov was awarded a Top Writer status on Quora in 2018, 2017, 2016, 2015 and 2014, he has over 80,000 followers. His contributions were republished by Huffington Post, Slate, and Forbes .[54]

Markov is a moderator for the cs.ET (Emerging Technologies in Computing and Communications) subject area on arXiv.

References

  1. 1.0 1.1 "Prof. Igor Markov". University of Michigan, Computer Science and Engineering. https://web.eecs.umich.edu/~imarkov. 
  2. "Nova Ukraine: Supporting Ukraine in Crisis and Beyond". March 30, 2022. https://www.nptrust.org/philanthropic-resources/philanthropist/nova-ukraine-supporting-ukraine-in-crisis-and-beyond. 
  3. "Civilians Evacuated from Mariupol". May 2, 2022. https://transcripts.cnn.com/show/cnr/date/2022-05-02/segment/19. 
  4. "Nova Ukraine has raised $30M to help with relief in #Ukraine since #Russia's invasion (video)". First Move CNN. May 11, 2022. https://twitter.com/firstmove/status/1524433355479072770. 
  5. "Nova Ukraine Delivers More Than $50 Million of Aid to Ukraine in 2022.". PR Newswire. 2022. https://www.prnewswire.com/news-releases/nova-ukraine-delivers-more-than-50-million-of-aid-to-ukraine-in-2022-301706108.html. Retrieved December 19, 2022. 
  6. "Igor Leonidovich Markov". Mathematics Genealogy Project. https://www.genealogy.math.ndsu.nodak.edu/id.php?id=70498. 
  7. 7.0 7.1 "Igor Markov: IEEE Xplore author profile". IEEE Xplore. https://ieeexplore.ieee.org/author/37267052900. 
  8. "Visiting Professor: Igor Markov". Stanford Electrical Engineering. https://rsg.stanford.edu/people/igor-markov. 
  9. "Patent US 10,235,432 "Document retrieval using multiple sort orders"". Google Patents. https://patents.google.com/patent/US10235432B1. 
  10. "Inside Meta's AI optimization platform for engineers across the company". Facebook. https://ai.meta.com/blog/looper-meta-ai-optimization-platform-for-engineers. 
  11. VanBilliard, Jefferson (2023-07-26). "Igor Markov" (in en-US). https://aiconference.com/speakers/igor-markov/. 
  12. "Nova Ukraine Board of Directors". https://novaukraine.org/about-us/board-of-directors/. 
  13. "Outstanding New Faculty Award". ACM SIGDA. https://www.sigda.org/awards/onfa/. 
  14. "IEEE CEDA Ernest S. Kuh Early Career Award". IEEE Council on Electronic Design Automation. https://ieee-ceda.org/awards/ieee-ceda-ernest-s-kuh-early-career-award/recipient/igor-markov. 
  15. "IEEE Council on EDA Honors Igor Markov with Early Career Award". Business Wire. https://web.eecs.umich.edu/~imarkov/CEDAaward.pdf. 
  16. "ACM Names 54 Distinguished Members for Contributions to Computing". ACM. December 15, 2011. https://www.acm.org/media-center/2011/december/acm-names-54-distinguished-members-for-contributions-to-computing. 
  17. "Igor Markov Named ACM Distinguished Scientist". University of Michigan, Computer Science and Engineering. December 15, 2011. https://cse.engin.umich.edu/stories/igor-markov-named-acm-distinguished-scientist. 
  18. "Igor Markov | IEEE CASS". https://ieee-cas.org/contact/igor-markov. 
  19. "Fellows directory". IEEE. https://www.ieee.org/membership/fellows/fellows-directory.html. 
  20. Vivek V. Shende; Aditya K. Prasad; Igor L. Markov; John P. Hayes (2003). "Synthesis of reversible logic circuits". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 22 (6): 710–722. doi:10.1109/TCAD.2003.811448. 
  21. "IEEE Transactions on Computer-Aided Design Donald O. Pederson Best Paper Award | IEEE Council on Electronic Design Automation" (in en). https://ieee-ceda.org/awards/ieee-transactions-computer-aided-design-donald-o-pederson-best-paper-award. 
  22. Smita Krishnaswamy; George F. Viamontes; Igor L. Markov; John P. Hayes (2005). "Accurate Reliability Evaluation and Enhancement via Probabilistic Transfer Matrices". Proceedings of Design Automation and Test in Europe (DATE) 2005: 282–287. 
  23. "Best Paper Awards DATE 2006". https://past.date-conference.com/proceedings-archive/2006/DATE06/PDFFILES/BESTPPR.PDF. 
  24. Smita Krishnaswamy; George F. Viamontes; Igor L. Markov; John P. Hayes (2008). "Probabilistic transfer matrices in symbolic reliability analysis of logic circuits". ACM Transations on Design Automation of Electronic Systems 13 (1): 8:1–8:35. 
  25. 25.0 25.1 Stephen Plaza; Igor L. Markov; Valeria Bertacco (2008). "Optimizing non-monotonic interconnect using functional simulation and logic restructuring". Proceedings of International Symposium on Physical Design (ISPD) 2008: 95–102. 
  26. "Best Paper Awards International Symposium on Physical Design (ISPD) 2008". https://ispd.cc/ispd2024/index.php?page=awards. 
  27. Myung-Chul Kim; Dongjin Lee; Igor L. Markov (2010). "SimPL: An effective placement algorithm". Proceedings of International Conference on Computer-Aided Design (ICCAD) 2010: 649–656. 
  28. "Best Paper Awards IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 2010". https://www.computer.org/csdl/proceedings-article/iccad/2010/05654312/12OmNCgJea0. 
  29. 29.0 29.1 Myung-Chul Kim; Dongjin Lee; Igor L. Markov (2012). "SimPL: An Effective Placement Algorithm". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 31 (1): 50–60. doi:10.1109/TCAD.2011.2170567. 
  30. Hadi Katebi; Karem A. Sakallah; Igor L. Markov (2012). "Graph Symmetry Detection and Canonical Labeling: Differences and Synergies". Turing-100. Easy Chair. ISBN 9781782310006. 
  31. "Computer Scientists Win Best Paper Award at Turing Centenary Conference" (in en-US). https://cse.engin.umich.edu/stories/computer-scientists-win-best-paper-award-at-turing-centenary-conference/. 
  32. Markov, Igor (2014). "Limits on Fundamental Limits to Computation". Nature 512 (7513): 147–154. doi:10.1038/nature13570. PMID 25119233. Bibcode2014Natur.512..147M. 
  33. Electronic Design Automation for IC System Design, Verification, and Testing; 2nd ed. Taylor & Francis. 2016. pp. 664. ISBN 9781138586000. 
  34. George F. Viamontes; Igor L. Markov; John P. Hayes (2009). Quantum Circuit Simulation. Springer. p. 200. ISBN 978-90-481-3064-1. 
  35. Andrew B. Kahng; Jens Lienig; Igor L. Markov; Jin Hu (2011). VLSI Physical Design - From Graph Partitioning to Timing Closure. Springer. pp. 1–310. ISBN 978-90-481-9590-9. 
  36. Andrew B. Kahng; Jens Lienig; Igor L. Markov; Jin Hu (2022). VLSI Physical Design - From Graph Partitioning to Timing Closure, 2nd ed. Springer. pp. 1–317. ISBN 978-3-030-96415-3. 
  37. Smita Krishnaswamy; Igor L. Markov; John P. Hayes (21 September 2012). Design, Analysis and Test of Logic Circuits Under Uncertainty. Springer. ISBN 978-90-481-9643-2. 
  38. Kai-hui Chang; Valeria Bertacco; Igor L. Markov (2009). Functional Design Errors in Digital Circuits - Diagnosis, Correction and Repair. Lecture Notes in Electrical Engineering. 32. Springer. pp. 185. ISBN 978-1-4020-9364-7. 
  39. David A. Papa; Igor L. Markov (2013). Multi-Objective Optimization in Physical Synthesis of Integrated Circuits. Lecture Notes in Electrical Engineering. 166. Springer. pp. 155. ISBN 978-1-4614-1355-4. 
  40. K. N. Patel; I. L. Markov; J. P. Hayes (2008). "Efficient Synthesis of Linear Reversible Circuits". Quantum Information and Computation 8 (3–4): 282–294. doi:10.26421/QIC8.3-4-4. 
  41. Aaronson, Scott; Gottesman, Daniel (2004). "Improved Simulation of Stabilizer Circuits". Phys. Rev. A 70 (5): 052328. doi:10.1103/PhysRevA.70.052328. 
  42. 42.0 42.1 Shende, Vivek V.; Bullock, Stephen S.; Markov, Igor L. (2006). "Synthesis of quantum logic circuits". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 25 (6): 1000–1010. doi:10.1109/TCAD.2005.855930. 
  43. Shende, Vivek V.; Markov, Igor L.; Bullock, Stephen S. (2004-06-30). "Minimal universal two-qubit controlled-NOT-based circuits". Physical Review A 69 (6): 062321. doi:10.1103/PhysRevA.69.062321. https://link.aps.org/doi/10.1103/PhysRevA.69.062321. 
  44. Araujo, Israel F.; Park, Daniel K.; Petruccione, Francesco; da Silva, Adenilton J. (2021-03-18). "A divide-and-conquer algorithm for quantum state preparation" (in en). Scientific Reports 11 (1): 6329. doi:10.1038/s41598-021-85474-1. ISSN 2045-2322. PMID 33737544. 
  45. Markov, Igor L.; Shi, Yaoyun (January 2008). "Simulating Quantum Computation by Contracting Tensor Networks" (in en). SIAM Journal on Computing 38 (3): 963–981. doi:10.1137/050644756. ISSN 0097-5397. http://epubs.siam.org/doi/10.1137/050644756. 
  46. 46.0 46.1 Aharonov, Dorit; Landau, Zeph; Makowsky, Johann (2006). "The quantum FFT can be classically simulated". arXiv:quant-ph/0611156.
  47. Yoran, Nadav; Short, Anthony J. (2007-10-16). "Efficient classical simulation of the approximate quantum Fourier transform". Physical Review A 76 (4): 042321. doi:10.1103/PhysRevA.76.042321. https://link.aps.org/doi/10.1103/PhysRevA.76.042321. 
  48. 48.0 48.1 Andrew E. Caldwell; Andrew B. Kahng; Igor L. Markov (2000). "Can recursive bisection alone produce routable placements?". Proceedings of the 37th conference on Design automation - DAC '00. 2000. pp. 477–482. doi:10.1145/337292.337549. ISBN 1581131879. https://ieeexplore.ieee.org/document/855358. 
  49. "IEEE Council on EDA Honors Igor Markov with Early Career Award". https://www.chipestimate.com/IEEE-Council-on-EDA-Honors-Igor-Markov-1254411000/Semiconductor-IP-Core/news/1657. 
  50. Andrew E. Caldwell; Andrew B. Kahng; Igor L. Markov (2000). "Optimal partitioners and end-case placers for standard-cell layout". IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19 (11): 1304–1313. doi:10.1109/43.892854. https://ieeexplore.ieee.org/document/892854. 
  51. Caldwell, Andrew E.; Kahng, Andrew B.; Markov, Igor L. (2001-12-31). "Design and implementation of move-based heuristics for VLSI hypergraph partitioning". ACM Journal of Experimental Algorithmics 5: 5–es. doi:10.1145/351827.384247. ISSN 1084-6654. https://dl.acm.org/doi/10.1145/351827.384247. 
  52. Saurabh N. Adya; Igor L. Markov (2003). "Fixed-outline floorplanning: enabling hierarchical design". IEEE Trans. Very Large Scale Integr. Syst. 11 (6): 1120–1135. doi:10.1109/TVLSI.2003.817546. https://ieeexplore.ieee.org/document/1255486. 
  53. Jarrod A. Roy; Igor L. Markov (2008). "High-performance routing at the nanometer scale". IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27 (6): 1066–1077. doi:10.1109/ICCAD.2007.4397313. https://ieeexplore.ieee.org/document/4397313. 
  54. "Igor Markov's profile". Quora. https://www.quora.com/profile/Igor-Markov. 

External links